Extreme Ultraviolet Lithography (EUV)

Assembly Line

Nvidia Brings GPU Acceleration to Computational Lithography

đź“… Date:

✍️ Author: Sally Ward-Foxton

đź”– Topics: extreme ultraviolet lithography

🏢 Organizations: NVIDIA, TSMC


Nvidia has built a software library for the acceleration of computational lithography workloads, enabling order-of-magnitude speedups for these workloads when combined with the latest GPU hardware. The library, CuLitho, will be used at Taiwan Semiconductor Manufacturing Co. (TSMC) beginning in June. Accelerating computational lithography has the potential to improve yield, thereby reducing cost per chip. Other benefits include reducing the carbon footprint associated with this workload, faster turnaround and enabling advanced process nodes with tiny feature sizes.

Read more at EETimes

Pattern-Shaping System Speeds Up Chip Production

đź“… Date:

✍️ Author: Anton Shilov

đź”– Topics: extreme ultraviolet lithography

🏭 Vertical: Semiconductor

🏢 Organizations: Applied Materials


Applied Materials has introduced its new Centura Sculpta pattern-shaping system that promises to provide a cost-effective alternative to extreme ultraviolet (EUV) lithography double patterning used to print dense interconnect lines and vias. As a result, the solution can reduce the number of EUV steps, production complexity and costs while potentially improving yields.

To keep advancing transistor performance, power consumption and density, chipmakers must adopt more sophisticated process technologies with tighter critical dimensions. Usage of dual EUV exposure is inevitable to print smaller features with 3-nm, 2-nm and thinner nodes. But double EUV patterning is expensive, lengthy and resource-consuming.

Read more at EE Times

Why The World Relies On ASML For Machines That Print Chips

The Extreme Engineering of ASML’s EUV Light Source

How ASML Won Lithography (& Why Japan Lost)

Moore’s Law Could Ride EUV for 10 More Years

đź“… Date:

✍️ Author: Alan Patterson

đź”– Topics: Extreme Ultraviolet Lithography

🏭 Vertical: Semiconductor

🏢 Organizations: ASML


ASML expects that chip makers ramping up production with the new technology initially will use 0.55 NA for a cost-saving single-expose EUV process for advanced wafer layers, while using multi-pattern 0.33 NA along with older lithography technology for more mature nodes. As the single-expose 0.55 NA technology reaches its limits, somewhere around six years from now, ASML predicts that chipmakers will once again resort to multi-patterning to reach even more advanced nodes with higher transistor densities. In the next few years, ASML’s introduction of 0.55 NA tools will help leading semiconductor foundries like TSMC overcome obstacles they are now encountering at the 3nm chip process technology node.

The Dutch company is the world’s lone supplier of EUV equipment. In 2010, ASML shipped the first prototype EUV tool to an undisclosed Asian customer. Semiconductor production today is divided into the EUV “haves” like Taiwan Semiconductor Manufacturing Co. (TSMC), Samsung and Intel, which make advanced chips for customers like Apple, MediaTek and Qualcomm. The EUV “have not” chip makers years ago threw in the towel at leading nodes, jettisoning the associated multi-billion dollar capital expenditures and focusing on improved profits from legacy production lines and products that benefit little or none from process shrinks.

Read more at EE Times

The $150 Million Machine Keeping Moore’s Law Alive

đź“… Date:

✍️ Author: @willknight

đź”– Topics: extreme ultraviolet lithography

🏭 Vertical: Semiconductor

🏢 Organizations: ASML


ASML’s next-generation extreme ultraviolet lithography machines achieve previously unattainable levels of precision, which means chips can keep shrinking for years to come.

ASML introduced the first extreme ultraviolet (EUV) lithography machines for mass production in 2017, after decades spent mastering the technique. The machines perform a crucial role in the chipmaking ecosystem, and they have been used in the manufacture of the latest, most advanced chips, including those in new iPhones as well as computers used for artificial intelligence. The company’s next EUV system, a part of which is being built in Wilton, Connecticut, will use a new trick to minimize the wavelength of light it uses—shrinking the size of features on the resulting chips and boosting their performance—more than ever before.

Read more at WIRED